Skip to main content

03.04.2024

Instant Test and Repair for TSVs using Differential Signaling

verfasst von: Ching-Yi Wen, Shi-Yu Huang

Erschienen in: Journal of Electronic Testing

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

A faulty Through Silicon Via (TSV) could spoil a 3D IC and cause hefty loss as the potentially expensive known-good-dies bonded together must be discarded. This work presents a Fault-tolerant TSV scheme to avoid such a disastrous situation. Our method uses two differential TSVs for each binary signal to be transmitted. Compared to the previous Fault-tolerant TSV schemes, our test and repair scheme is not only instant and much more simplified, requiring no global test result analysis and complex reconfiguration process, thereby making it especially suitable for some situations when the more involved TSV test and repair schemes cannot be easily supported by some die providers in multi-vendor 3D-IC design environment.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Chen S, Xu Q, Yu B (2019) Adaptive 3D-IC TSV fault tolerance structure generation. IEEE Trans Comput Aided Des Integr Circuits Syst 38(5):949–960CrossRef Chen S, Xu Q, Yu B (2019) Adaptive 3D-IC TSV fault tolerance structure generation. IEEE Trans Comput Aided Des Integr Circuits Syst 38(5):949–960CrossRef
2.
Zurück zum Zitat Chen MF, Lin CS, Liao EB, Chiou WC, Kuo CC, Hu CC, Tsai CH, Wang CT, Yu DCH (2020) SoIC for low-temperature, multi-layer 3D memory integration. Proc of IEEE Electronic Components and Technology Conf. pp 855–860 Chen MF, Lin CS, Liao EB, Chiou WC, Kuo CC, Hu CC, Tsai CH, Wang CT, Yu DCH (2020) SoIC for low-temperature, multi-layer 3D memory integration. Proc of IEEE Electronic Components and Technology Conf. pp 855–860
3.
Zurück zum Zitat Chi CC, Wu CW, Wang MJ, Lin HC (2013) 3D-IC TSV test, diagnosis, and repair. Proc of IEEE VLSI Test Symp. pp 1–6 Chi CC, Wu CW, Wang MJ, Lin HC (2013) 3D-IC TSV test, diagnosis, and repair. Proc of IEEE VLSI Test Symp. pp 1–6
4.
Zurück zum Zitat EDA cloud Cell-based Flow. Taiwan Semiconductor Research Institute EDA cloud Cell-based Flow. Taiwan Semiconductor Research Institute
5.
Zurück zum Zitat Heller L, Griffin W, Davis J, Thoma N (1984) Cascode Voltage Switch Logic: A Differential CMOS Logic Family. Proc of Int’l Solid-State Circuits Conf. pp 16–17 Heller L, Griffin W, Davis J, Thoma N (1984) Cascode Voltage Switch Logic: A Differential CMOS Logic Family. Proc of Int’l Solid-State Circuits Conf. pp 16–17
6.
Zurück zum Zitat Hsieh A, Hwang T, Chang M, Tsai M, Tseng C, Li HC (2010) TSV redundancy: architecture and design issues in 3D-IC. Proc of IEEE Design, Automation, and Test in Europe. pp 166–171 Hsieh A, Hwang T, Chang M, Tsai M, Tseng C, Li HC (2010) TSV redundancy: architecture and design issues in 3D-IC. Proc of IEEE Design, Automation, and Test in Europe. pp 166–171
7.
Zurück zum Zitat Huang YJ, Li JF (2012) Built-in self-repair scheme for the TSVs in 3-D ICs. IEEE Trans Comput Aided Des Integr Circuits Syst 31(10):1600–1613CrossRef Huang YJ, Li JF (2012) Built-in self-repair scheme for the TSVs in 3-D ICs. IEEE Trans Comput Aided Des Integr Circuits Syst 31(10):1600–1613CrossRef
8.
Zurück zum Zitat Huang SY, Lee JY, Tsai KH, Cheng WT (2013) At-speed BIST for interposer wires supporting on-the-spot diagnosis. Proc of Int’l On-Line Test Symp, pp 67–72 Huang SY, Lee JY, Tsai KH, Cheng WT (2013) At-speed BIST for interposer wires supporting on-the-spot diagnosis. Proc of Int’l On-Line Test Symp, pp 67–72
7.
Zurück zum Zitat Huang SY, Lee JY, Tsai KH, Cheng WT (2014) Pulse-vanishing test for interposers wires in 2.5-D IC. IEEE Trans Comput Aided Des Electron Circuits (TCAD) 33(8):1258–1268CrossRef Huang SY, Lee JY, Tsai KH, Cheng WT (2014) Pulse-vanishing test for interposers wires in 2.5-D IC. IEEE Trans Comput Aided Des Electron Circuits (TCAD) 33(8):1258–1268CrossRef
10.
Zurück zum Zitat Huang SY, Tsai MT, Zeng ZF, Tsai KH, Cheng WT (2015) General timing-aware built-in self-repair for die-to-die TSVs. IEEE Trans Comput Aided Des Integr Circuits Syst 34(11):1836–1846CrossRef Huang SY, Tsai MT, Zeng ZF, Tsai KH, Cheng WT (2015) General timing-aware built-in self-repair for die-to-die TSVs. IEEE Trans Comput Aided Des Integr Circuits Syst 34(11):1836–1846CrossRef
11.
Zurück zum Zitat Jeong J, Iizuka T, Nakura T, Ikeda M, Asada K (2011) All-digital PMOS and NMOS Process Variability Monitor Utilizing Buffer Ring with Pulse Counter,. Proc of 16th Asia and South Pacific Design Automation Conference (ASP-DAC). Yokohama, Japan, pp 79–80 Jeong J, Iizuka T, Nakura T, Ikeda M, Asada K (2011) All-digital PMOS and NMOS Process Variability Monitor Utilizing Buffer Ring with Pulse Counter,. Proc of 16th Asia and South Pacific Design Automation Conference (ASP-DAC). Yokohama, Japan, pp 79–80
12.
Zurück zum Zitat Jiang L, Xu Q, Eklow B (2012) On effective TSV repair for 3D-stacked ICs. Proc of IEEE Design, Automation, and Test in Europe. pp 6–11 Jiang L, Xu Q, Eklow B (2012) On effective TSV repair for 3D-stacked ICs. Proc of IEEE Design, Automation, and Test in Europe. pp 6–11
13.
Zurück zum Zitat Jiang L, Ye F, Xu Q, Chakrabarty K, Eklow B (2013) On effective and efficient in-field TSV repair for stacked 3D-ICs. Proc of Design Automation Conf. pp 1–6 Jiang L, Ye F, Xu Q, Chakrabarty K, Eklow B (2013) On effective and efficient in-field TSV repair for stacked 3D-ICs. Proc of Design Automation Conf. pp 1–6
14.
Zurück zum Zitat Kang U, Chung H, Heo S, Park D, Lee H, Kim J, Ahn S, Cha S, Ahn J, Kwon D et al (2010) 8 GB 3-D DDR3DRAM using Through-Silicon-Via Technology. IEEE J Solid-State Circuits 45(1):111–119CrossRef Kang U, Chung H, Heo S, Park D, Lee H, Kim J, Ahn S, Cha S, Ahn J, Kwon D et al (2010) 8 GB 3-D DDR3DRAM using Through-Silicon-Via Technology. IEEE J Solid-State Circuits 45(1):111–119CrossRef
15.
Zurück zum Zitat Liang H, Li D, Yang Z, Ni T, Huang Z, Jiang C (2021) An N:1 single-channel TDMC Fault-tolerant technique for TSVs in a 3D-ICs. Proc of Int’l Test Conf. in Asia. pp 1–5 Liang H, Li D, Yang Z, Ni T, Huang Z, Jiang C (2021) An N:1 single-channel TDMC Fault-tolerant technique for TSVs in a 3D-ICs. Proc of Int’l Test Conf. in Asia. pp 1–5
16.
Zurück zum Zitat Liang SW, Wu G, Yee KC, Wang CT, Cui JJ, Yu DCH (2022) High-Performance and Energy Efficient Computing with Advanced SoIC Scaling. Proc of IEEE Electronic Components and Technology Conf. pp 1090–1094 Liang SW, Wu G, Yee KC, Wang CT, Cui JJ, Yu DCH (2022) High-Performance and Energy Efficient Computing with Advanced SoIC Scaling. Proc of IEEE Electronic Components and Technology Conf. pp 1090–1094
17.
Zurück zum Zitat Loi I, Mitra S, Lee T, Fujita S, Benini L (2008) A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Proc of Int’l Conf. on Computer-Aided Design. pp 598–602 Loi I, Mitra S, Lee T, Fujita S, Benini L (2008) A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Proc of Int’l Conf. on Computer-Aided Design. pp 598–602
18.
Zurück zum Zitat Nicolaidis M, Pasca V, Anghel L (2012) Through-Silicon-Via Built-In Self-Repair for Aggressive 3D integration. Proc of IEEE Int’l On-Line Testing Symp. (IOLTS). pp 91–96 Nicolaidis M, Pasca V, Anghel L (2012) Through-Silicon-Via Built-In Self-Repair for Aggressive 3D integration. Proc of IEEE Int’l On-Line Testing Symp. (IOLTS). pp 91–96
19.
Zurück zum Zitat O’Brien PRO, Savarino TL (1989) Modeling the Driving-Point Characteristic of Resistive TSV for Accurate Delay Estimation. Proc of Design Automation Conf. pp 512–515 O’Brien PRO, Savarino TL (1989) Modeling the Driving-Point Characteristic of Resistive TSV for Accurate Delay Estimation. Proc of Design Automation Conf. pp 512–515
17.
Zurück zum Zitat Reddy RP, Acharyya A, Khursheed S (2017) A cost-effective fault tolerance technique for functional TSV in 3-D ICs. IEEE Trans VLSI Syst 25(7):2071–2080CrossRef Reddy RP, Acharyya A, Khursheed S (2017) A cost-effective fault tolerance technique for functional TSV in 3-D ICs.  IEEE Trans VLSI Syst 25(7):2071–2080CrossRef
21.
Zurück zum Zitat Wang S, Tahoori MB, Chakrabarty K (2016) Thermal-aware TSV repair for electromigration in 3D ICs. Proc of Design Automation & Test in Europe Conf. (DATE). pp 1291–1296 Wang S, Tahoori MB, Chakrabarty K (2016) Thermal-aware TSV repair for electromigration in 3D ICs. Proc of Design Automation & Test in Europe Conf. (DATE). pp 1291–1296
19.
Zurück zum Zitat Wang Q, Liu Z, Jiang J, Jing N, Sheng W (2019) A new cellular-based redundant TSV structure for clustered faults. IEEE Trans VLSI Syst 27(2):458–467CrossRef Wang Q, Liu Z, Jiang J, Jing N, Sheng W (2019) A new cellular-based redundant TSV structure for clustered faults.  IEEE Trans VLSI Syst 27(2):458–467CrossRef
20.
Zurück zum Zitat Zhao Y, Khursheed S, Al-Hashimi BM (2015) Online fault tolerance technique for TSV-based 3-D-IC. IEEE Trans VLSI Syst 23(8):1567–1571CrossRef Zhao Y, Khursheed S, Al-Hashimi BM (2015) Online fault tolerance technique for TSV-based 3-D-IC.  IEEE Trans VLSI Syst 23(8):1567–1571CrossRef
Metadaten
Titel
Instant Test and Repair for TSVs using Differential Signaling
verfasst von
Ching-Yi Wen
Shi-Yu Huang
Publikationsdatum
03.04.2024
Verlag
Springer US
Erschienen in
Journal of Electronic Testing
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-024-06112-y